Frequently Asked Questions: Difference between revisions

From Cpre584
Jump to navigation Jump to search
Ogamal (talk | contribs)
Ogamal (talk | contribs)
No edit summary
Line 5: Line 5:
By default, the PDK looks like the project/verilog directory and compiles all .v files found there.  To add other Verilog directories, use this makefile variable:
By default, the PDK looks like the project/verilog directory and compiles all .v files found there.  To add other Verilog directories, use this makefile variable:
<br /><nowiki>USER_VERILOG_DIRS  += ../../verilog</nowiki>
<br /><nowiki>USER_VERILOG_DIRS  += ../../verilog</nowiki>
=== How to run Modelsim GUI while simulating? ===
You may run the simulation in interactive mode by adding the following line to the makefile in the sim directory:
<code>USER_SIM_OPTIONS = -gui</code>


== Emulator Related ==
== Emulator Related ==
Line 21: Line 27:
bool AeMemStore (int aeId, int mcId, unint64 addr, int size, bool bSigned, uint64 &data);
bool AeMemStore (int aeId, int mcId, unint64 addr, int size, bool bSigned, uint64 &data);
</code>
</code>
=== How to run Modelsim GUI while simulating? ===
You may run the simulation in interactive mode by adding the following line to the makefile in the sim directory:
<code>USER_SIM_OPTIONS = -gui</code>

Revision as of 03:36, 4 February 2013

General FAQ

How to add new verilog files or directories to a PDK project?

By default, the PDK looks like the project/verilog directory and compiles all .v files found there. To add other Verilog directories, use this makefile variable:
USER_VERILOG_DIRS += ../../verilog


How to run Modelsim GUI while simulating?

You may run the simulation in interactive mode by adding the following line to the makefile in the sim directory:

USER_SIM_OPTIONS = -gui

Emulator Related

How to read AEG registers in the emulator?

You can use the following function: uint64 AegRead(int aeId, int aegIdx);

How to read/write the memory in the emulator?

You can use the functions AeMemLoad and AeMemStore to read and write from/to the memory as follows:

bool AeMemLoad (int aeId, int mcId, unint64 addr, int size, bool bSigned, uint64 &data);
bool AeMemStore (int aeId, int mcId, unint64 addr, int size, bool bSigned, uint64 &data);